Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?

March 28, 2024

Dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?

Le multi-patterning est une technique pour surmonter les limites lithographiques dans la fabrication de puces.Le multi-patterning permet aux fabricants de puces d'imager les conceptions de circuits intégrés à 20 nanomètres et moins.

En général, le multi-patterning comporte deux catégories principales: le pitch splitting et les espaceurs.Les espaceurs comprennent le double modèle auto-aligné (SADP) et le quadruple modèle auto-aligné (SAQP)Les deux techniques de séparation de hauteur et d'espacement peuvent s'étendre à l'équitation.

dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?  0

Le premier type, la division de hauteur, est principalement utilisé en logique.Le double motif se réfère presque toujours au procédé de scission des écailles LELE.Dans la fabrication de gaufres, LELE nécessite deux étapes de lithographie et de gravure indépendantes pour définir une seule couche.LELE peut être coûteux car il double les étapes du processus en lithographie.

Au départ, cette technique sépare les mises en page qui ne peuvent pas être imprimées avec une seule exposition en deux masques de densité inférieure.Cela forme deux modèles plus grossiersIls sont combinés et superposés pour permettre une image plus fine sur la wafer.

LELE (c'est-à-dire double patterning) pose de nouvelles exigences de mise en page, de vérification physique et de débogage pour les concepteurs.les couleurs sont attribuées aux couches de masque en fonction des exigences d'espacementLes couches de masque sont segmentées ou décomposées en deux nouvelles couches à partir de la disposition originale dessinée.

Une décision clé dans la méthodologie est de savoir si les concepteurs veulent poursuivre un flux de conception " incolore ".sélectionner parmi plusieurs options de décompositionBien sûr, tout flux de conception nécessite des compromis.

Au nœud de 20 nanomètres, les fonderies utilisent plusieurs flux de conception de double motif différents.L'un des flux les plus courants n'exige pas que l'équipe de conception décompose ses couches en deux couleursCependant, dans certains cas, les concepteurs peuvent vouloir savoir quelle est l'attribution de couleur.

Dans le même temps, au nœud de 10 nm, les fabricants de puces peuvent avoir besoin de se tourner vers une autre technique de scission de hauteur: le triple patterning.LELELE est similaire à LELEDans la fabrication de plaquettes, LELELE nécessite trois étapes de lithographie et de gravure indépendantes pour définir une seule couche.

Dans la conception, le triple patterning nécessite de décomposer la couche d'origine en trois masques.À première vue, le triple motif peut sembler inoffensif.La construction d'algorithmes de logiciels EDA pour décomposer, colorer et vérifier automatiquement les couches avec un modèle triple est un défi.Les violations du triple schéma peuvent être très complexes., et le débogage peut être difficile.

Pendant ce temps, les espaceurs sont la deuxième catégorie principale de multi-modèles.SADP/SAQP était auparavant utilisé pour étendre le flash NAND au nœud 1xnm et entre maintenant dans le champ logique.

Le SADP est une forme de double patterning, parfois appelé division de pitch ou double patterning assisté par un mur latéral.Le processus SADP utilise une étape de lithographie avec des étapes de dépôt et de gravure supplémentaires pour définir des caractéristiques similaires aux espaceursDans le processus de SADP, la première étape consiste à former des mandrels sur le substrat. Ensuite, une couche de dépôt couvre le motif. La couche de dépôt est ensuite gravée, formant des espaces. Enfin, la couche de dépôt est recouverte d'une couche de dépôt.la partie supérieure est soumise à des étapes de polissage chimique mécanique (CMP).

SAQP est essentiellement deux cycles de la technologie d'espacement latérale double modèle. les modèles simples, y compris ceux en flash ou finFET sont accomplis dans SADP ou SAQP.Les lignes parallèles sont formées en premier.En revanche, les couches métalliques des puces DRAM et logiques sont plus complexes et ne peuvent pas être réalisées par SADP/SAQP.La flexibilité de conception du SADP/SAQP est également inférieure à celle du LELE, tandis que les technologies de type LELE nécessitent un modèle par l'intermédiaire.

SAQP est l'abréviation de Self-Aligned Quadruple Patterning.

Selon les informations disponibles, la méthode de modélisation quadruple auto-alignée (SAQP) est la technique la plus largement utilisée pour les caractéristiques de modélisation dont les hauteurs sont inférieures à 38 nm,on s'attend à ce qu'il atteigne des hauteurs allant jusqu'à 19 nmIl intègre essentiellement plusieurs étapes de processus et a été employé dans la mise en forme des ailerons FinFET et 1X DRAM.permettre aux lignes initialement tracées à 80 nm d'être séparées pour aboutir à des lignes espacées de 20 nm (atteignant effectivement une résolution de 10 nm)Ceci est significatif car il dépasse de loin la résolution de tout outil de lithographie de production de masse, y compris l'EUV (qui atteint une résolution de 13 nm).

dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?  1

Le processus divise naturellement les caractéristiques en trois groupes: noyau, coque et bordure (voir figure 2).la limite forme une grille qui doit également être segmentéePar conséquent, le processus SAQP doit se terminer par une étape de lithographie, qui coupe ou découple les caractéristiques de la coquille et de la limite précédemment définies.noyau et limite.

dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?  2

Dans une autre variante du flux de processus SAQP (voir figure 3), les caractéristiques de la coque sont en fait le premier matériau d'espacement restant, tandis que le noyau et la limite sont des matériaux différents,soit le substrat, soit le matériau de remplissagePar conséquent, ils sont représentés avec des couleurs différentes sur la figure 2. Le fait qu'ils soient des matériaux différents implique qu'ils peuvent être gravés de manière sélective.Cela offre des opportunités de réaliser des modèles difficiles.

dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?  3

Une application particulièrement utile est la combinaison de caractéristiques de hauteur minimale et de hauteur minimale 2x. Cette combinaison est généralement interdite dans les expositions uniques avec k1 < 0.5Une combinaison particulièrement décourageante est celle des lignes de hauteur minimale avec deux fois moins d'interruptions de hauteur (voir figure 4, gauche).Le motif de diffraction des interruptions est beaucoup plus faible que celui des lignes elles-mêmes parce qu'elles occupent une zone beaucoup plus petiteLeurs performances se détériorent également beaucoup plus rapidement en cas de défocage.D'autre part, par gravure sélective, les caractéristiques du masque peuvent passer à travers les lignes intermédiaires (voir figure 4, droite).Cela simplifie grandement la coupe et évite les erreurs de placement potentiels de bord qui peuvent se produire lors de la coupe séparément dans deux endroits.

dernières nouvelles de l'entreprise Qu'est-ce que la technologie du quadruple modèle auto-aligné (SAQP)?  4

Pour la gravure sélective, trois masques sont nécessaires - un pour définir les régions A/B séparées, le deuxième masque pour la gravure sélective A, et le troisième masque pour la gravure sélective B.la gravure sélective (combinée à la SAQP) permet également des tolérances de chevauchement plus importantes et un nombre minimum de masques, permettant ainsi la combinaison d'une hauteur de ligne minimale et d'interruptions à deux fois la hauteur de ligne minimale, ce qui facilite la manipulation du multi-patterning.

En résumé, tous les processus d'auto-alignement multi-modèles comprennent les étapes suivantes:

  1. J'imprime des traces de mandrines.
  2. Des parois latérales sur les motifs imprimés.
  3. Je supprime les motifs de mandrille.
  4. Développement des motifs finaux entre les parois latérales.
  5. Ajout de blocs diélectriques pour obtenir l'espacement de pointe à pointe souhaité dans la cible finale.
  6. Au fur et à mesure que nous progressons vers des nœuds technologiques plus avancés, la modélisation des couches métalliques critiques de l'arrière de la ligne (BEOL) avec des hauteurs plus agressives, telles que 32 nanomètres,devient extrêmement difficileGénéralement, des tranchées sont créées dans ces couches BEOL, qui sont ensuite remplies de métal dans l'étape finale de métallisation.des couches de blocage verticales perpendiculaires aux tranchées sont ajoutées, formant de petits espaces métalliques de bout en bout.

    Dans l'industrie, diverses options ont été envisagées pour la mise en forme des couches et blocs BEOL les plus agressifs.Une option est de combiner la lithographie d'immersion avec ce que l'on appelle le Metal Line Self-Aligned Quadruple Patterning (SAQP)Cependant, cette option nécessite des masques à trois blocs et un processus de lithographie triple, ce qui ajoute au coût et à la complexité de la solution proposée.Une autre option consiste à utiliser directement la lithographie ultraviolette extrême (EUVL) pour modéliser les couches métalliques BEOL en une seule expositionBien que ce processus d'intégration directe de l'EUVL soit simple et rentable, la fidélité (telle que la forme) et la variabilité des motifs, ainsi que la fabrication de masques, ne permettent pas d'améliorer les performances de l'UEVL.sont très difficiles., en particulier pour les très petites distances entre les extrémités.